Add XDC Brush to SyntaxHighlighter Evolved

Created by: Mark seminatore

Rating:
Downloaded: 641 times

This is a WordPress Plugin that adds support for Xilinx Design Constraint (XDC) files to the SyntaxHighlighter Evolved plugin.

Screenshots

    Categories

    Get New Themes & Resources